نتایج جستجو برای «ضرب کننده»

کد VHDL ضرب کننده 4 بیتی

دانلود کد VHDL ضرب کننده 4 بیتی به همراه فایل تست. این فایل کد VHDL ضرب کننده 4 بیتی با استفاده از جمع کننده های یک بیتی است. این برنامه کد VHDL یک ضرب کننده است که با استفاده از and و جمع کننده کامل تک بیتی عمل ضرب را میان دو عدد 4 بیتی انجام می دهد و نتیجه 8 بیتی ...

قیمت : 30,000 تومان

توضیحات بیشتر دریافت فایل

تعداد نتایج: 1